收藏 分享(赏)

基于USB的经络信号的检测系统与设计.doc

上传人:wo7103235 文档编号:395242 上传时间:2019-06-08 格式:DOC 页数:41 大小:510.50KB
下载 相关 举报
基于USB的经络信号的检测系统与设计.doc_第1页
第1页 / 共41页
基于USB的经络信号的检测系统与设计.doc_第2页
第2页 / 共41页
基于USB的经络信号的检测系统与设计.doc_第3页
第3页 / 共41页
基于USB的经络信号的检测系统与设计.doc_第4页
第4页 / 共41页
基于USB的经络信号的检测系统与设计.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

1、主振荡器、波形变换电路、波形选择多路开关、2.5V 基准电压源、相位检测器、同步脉冲输出及波形输出驱动电路等。其主要优点有:1)能精密地产生三角波、锯齿波、矩形波(含方波) 、正弦波信号。2)频率范围从 0.1Hz20MHz,最高可达 40MHz,各种波形的输出幅度均为 2V3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最7大调节范围是 1090。4)波形失真小,正弦波失真度小于 0.75,占空比调节时非线性度低于 2。5)采用5V 双电源供电,允许有 5变化范围,电源电流为 80mA,典型功耗400mW,工作温度范围为 070。6)低温度漂移:200 PPM。7)低阻抗

2、输出缓冲器:0.1;8)内设 2.5V 电压基准,可利用该电压设定 FADJ、DADJ 的电压值,实现频率微调和占空比调节。2.5 芯片引脚1 82 01 91 71 61 51 41 31 21 11254367891 0O U TV -G N DV +D V +D G N DS Y N CP D IP D OG N DI I NG N DF A D JD A D JC O S CA 1A 0R E FG N DG N DM A X I MM A X 0 3 8图 2.5.1 MAX038 引脚图表 2.5.1 MAX038 引脚名称及功能引脚号 名 称 功 能1 REF 2.50 V 带隙

3、基准电压输出端2 GND 地3 A0 波形选择输入端,TTLCMOS 兼容4 A1 波形选择输入端,TTLCMOS 兼容85 COSC 外部电容连接端6 GND 地7 DADJ 占空比调整输入端8 FADJ 频率调整输入端9 GND 地10 Iin 用于频率控制的电流输入端11 GND 地12 PDO 如果相位检波器输出端。不用相位检波器则接地13 PDI 相位检波器基准时钟输入端。如果不用相位检波器则接地14 SYNC TTLCMOS 兼容的同步输出端,可由 DGND 至 DV+间的电压作为基准15 DGND 数字地。让他开路使 SYNC 无效,或是 SYNC 不用16 DV+ 数字+5 V

4、 电源。如果 SYNC 不用则让他开路17 V+ +5 V 电源18 GND 地19 OUT 正弦波、方波或三角波输出端20 V- -5 V 电源MAX038 内部还有正弦整形电路、比较器、复用器以及鉴相器电路,它们共同实现了正弦波、三角波、锯齿波、矩形波和脉冲波的生成。鉴相器是作为锁相环的备用单元,为异或门电路结构,输入信号一路来自内部差动矩形波 OSCA 和 OSCB,另外一路来自外部引脚 PDI。鉴相器的输出信号为电流,由 PDO 引脚输出平均值变化范围为 。当两路输入信号的相位05A差为 时,输出电流的占空比为 50%,平均值为 。如果构成锁相环路,90o 2则 PDO 和 FADJ

5、相连,并且对地连接一个电阻 ,同时并联一个电容 。PDRPDC决定鉴相器的灵敏度, 用于滤除电流中的高频成分。PDRPDC2.6 系统框图及原理如图 2.6.1 所示,采用单片机 AT89C52 对主信号发生芯片进行智能控制,对 MAX038 产生的波形信号进行频率高低,占空比大小,幅度范围的控制,以及产生波形的选择控制。95 2 单片机 4 4 键盘M A X 0 3 8波形选择占空比调节频率调节放大电路波形输出图 2.6.1 系统框图MAX038 的输出频率主要受振荡电容 CF , IIN 端电流和 FADJ 端电压的控制,其中前二者与输出频率的关系如图 2.7.1 所示。选择一个 CF

6、值,对应 IIN 端电流的变化,将产生一定范围的输出频率。另外,改变 FADJ 端的电压,可以在 IIN 控制的基础上,对输出频率实现微调控制。为实现输出频率的数控调整,在 IIN 端和 FADJ 端分别连接一个电压输出的 DAC。首先,通过 DACB 产生0V(00H)到 2.5V(0FFH)的输出电压,经电压/ 电流转换网络,产生 0A 到748A 的电流,叠加上网络本身产生的 2A 电流,最终对 IIN 端形成 2A到 750A 的工作电流,使之产生相应的输出频率范围。DACB 将此工作电流范围分为 256 级步进间隔,输出频率范围也被分为 256 级步进间隔。所以,IIN端的电流对输出

7、频率实现粗调。第二步,通过 DACA 在 FADJ 端产生一个从- 2.3V(00H)到+ 2.3V(0FFH)的电压范围,该范围同样包含 256 级步进间隔,IIN 端的步进间隔再次细分为 256 级步进间隔,从而在粗调的基础上实现微调。2.7 频段调节控制MAX038的输出频率和CF电容与IIN 端的电流间的关系如表2.7.1 所示。固定一个CF值,当IIN 端的电流从 到 的变化时,对应产生一个频段的2A750频率范围。经实验调整,我们选择了一系列的CF 如表2.7.1 所示,并确定了各CF所对应的频段和频率范围 。由于系统通过DAC 控制IIN 端电流和FADJ 1f品推向全国,按照企

8、业的发展战略规划,力争在较短的时间内将公司做大做强,为企业的进一步发展奠定坚实的基础。从市场空间看,我国有13亿多人口的大市场,中等收入群体稳步扩大,迫切需要深化供给侧结构性改革,满足新出现的大量消费升级需求;城泓域咨询MACRO/ 开瓶器项目实施方案及投资分析乡区域发展不平衡蕴藏可观发展空间,具有巨大的发展韧性、潜力和回旋余地。从发展条件看,经过新中国成立以来特别是改革开放40年的发展,我国积累了雄厚的物质基础,拥有全球最完整的产业体系、不断增强的科技创新能力、丰富的人力资源和土地资源、较高水平的总储蓄率,经济发展具有坚实支撑。二、必要性分析1、2019年将面临经济下行周期与金融下行周期的重

9、叠,外需回落与内需疲软的重叠,大开放、大调整与大改革的重叠,盈利能力下降与抗风险能力下降的重叠。这决定了2019年下行压力将持续强化。2019年将以中美摩擦和解、改革开放40周年纪念大会为契机,在开放、深层次结构性问题以及系统性金融风险的倒逼下,全面开启新一轮全面改革开放浪潮和第二轮供给侧结构性改革。这将重构中国经济市场主体的信心,逆转当前预期悲观的颓势。2、准确认识、深入认识、全面认识新常态下的新趋势、新特征、新动力,是做好今后经济工作的重要前提。新常态之新,意味着不同以往,意味着我国经济发展的条件和环境已经或即将发生诸多重大转变,经济增长将与过去30多年10%左右的高速度基本告别,与传统的

10、不平衡、不协调、不可持续的粗放增长模式基本告别,增长从高速转为中高速,动力从要素驱动、投资驱动转向创新驱动;新常态之常,意味着相对稳定,这一稳定是更高水平的稳定,是经济结构不断优化升级、增长质量加快“上台阶”的产业发展,抢占发展机遇,已成为各地推动经济发展的必然选择。我省要实现高质量发展,必须把发展战略性新兴产业摆在更加重要地位,积极培育发展新动能。大力发展战略性新兴产业,将吸引大量投资进入高科技产业,优化我省产业结构,并通过高科技产业化提高投资效率,提升我省经济发展的质量效益。同时,战略性新兴产业通过技术溢出,会有效泓域咨询MACRO/ 开短路测试项目实施方案及投资分析提升产品的技术含量,引

11、导我省传统企业实现技术升级,从而有力促进产业转型升级,实现经济持续健康发展。2、为推进经济结构的战略性调整,促进产业升级、提高产业竞争力,国家发改委颁布当前国家重点鼓励发展的产业、产品和技术目录,其中:项目产品制造名列其中,覆盖拟建项目投产后的产品,因此,投资项目属于当前国家重点鼓励发展的产业;综上所述,投资项目符合国家及地方相关行业的准入规定。全球经济增长将温和放缓,我国工业新旧动能将加速转换,工业经济仍将在合理区间稳定运行,工业投资增速有望稳中有进、工业品消费将继续保持平稳增长、工业企业出口增速可能会小幅放缓、企业效益和发展质量将继续稳步提升。工经所所长秦海林表示,未来必须按照高质量发展要

12、求,深化改革,继续改善营商环境,增强发展信心;扩大开放,营造良好的发展环境,拓展发展空间;锐意创新,提高关键核心技术攻关能力,保障产业安全。二、必要性分析1、实体经济是一国经济的立身之本,是财富创造的根本源泉,是国家强盛的重要支柱。要大力发展实体经济,筑牢现代化经济体系的坚实基础,与十九大报告中关于“建设现代化经济体系,必须把发展经济的着力点放在实体经济上”的论述一脉相承,是立足全局、面向未来作出的重大战盐城工学院本科生毕业设计 毕 业 设 计 说 明 书基于 MUX 结构的温度计码解码器设计专业 电气工程及其自动化学生姓名班级 学号指导教师完成日期 盐城工学院本科生毕业设计基于 MUX结构的

13、温度计码解码器的设计摘 要:在 21 世纪,高新技术产业得到了巨大的发展,高精度的时间间隔测量技术成为多个学科研究的重要依据,为航空航天领域,原子物理领域的研究发展做出了巨大贡献。通过了解延时链的工作原理和温度计码的由来,设计基于 MUX 结构的时间数字转换器,可快速找出温度计码转换 1-0(0-1)跳变处的位置,并将它转换成二进制码,计算出延迟时间。运用 HDL 语言、Modelsim 和Quartus II 设计实现了基于 MUX 结构的温度计码解码器,对于出现一个 bubble和两个 bubble 时的亚稳态误差,可以设计一个编译器对输入的温度计码进行预处理,使得出现 bubble 时也

14、能得到正确的输出结果。使用该设计方案,只需要较少的逻辑资源就可以实现 MUX 结构的时间数字转换器高精度的测量要求,并且程序开发时间短,易于维护升级,成本低,运行效率高,系统稳定,实用性强等优点。这就满足了课题设计要求,解码高效,并可以克服 bubble 出现时的亚稳态误差。关键词:FPGA; MUX 结构; 温度计码解码器;盐城工学院本科生毕业设计The design of Thermometer code decoder based on MUX structureAbstract:In the 21st century, high-tech industry has been treme

15、ndous growth, high-precision time measurement technology has become an important basis for multi-disciplinary research space for research and development in aerospace, atomic physics has made tremendous contributions. Work for the delay through the chain and the origin of the thermometer code, based

16、 on time-digital converter MUX structure, can quickly find the thermometer code converter 1-0 (0-1) transition at the position, and convert it to binary code calculates the delay time. Using HDL language, Modelsim and Quartus II design and implementation of the thermometer code decoder based MUX str

17、ucture, for the emergence of a bubble and the bubble when the two metastable error, you can design a compiler to preprocess the input thermometer code, so can get the correct output results appear bubble.I also took into account the emergence of a bubble and simulation distortion when the two bubble

18、, and design a compiler to preprocess the input thermometer code, so that can get the correct output results appear bubble. Use this design requires less logic resources can implement time digital converter MUX structure high precision measurement requirements and program development time is short,

19、easy to maintain and upgrade, low cost, high efficiency, system stability, practicality and other advantages. This satisfies the requirements of the subject design, decoding and efficient, and can overcome the bubble error.Key Words: FPGA; MUX structure; thermometer code decoder;基于 MUX 结构的温度计码解码器设计目

20、 录1. 概 述 .11.1 背景意义 .11.2 研究现状 .11.3 HDL 语言与 EDA 软件 .11.4 论文结构 .22.系统总体设计 .22.1 延时链测量原理及温度计码的由来 .22.2 亚稳态 .32.3 MUX 解码器算法 .33.设计实现 .63.1 8 位温度计码解码器的实现 .63.2 16 位温度计码解码器的实现 .113.3 32 位温度计码解码器的实现 .163.4 64 位温度计码解码器的实现 .223.5 引脚资源表 .243.6 阻止亚稳态传播 .244.系统仿真 .254.1 8 位温度计码解码器的仿真 .254.2 16 位 MUX 结构的温度计码解码

21、器的仿真 .254.3 32 位 MUX 结构的温度计码解码器的仿真 .264.4 64 位 MUX 结构的温度计码解码器的仿真 .274.5 有一个 bubble 的仿真 .284.5.1 8 位有一个 bubble 的仿真 .284.5.2 16 位有一个 bubble 的仿真 .284.5.3 32 位有一个 bubble 的仿真 .294.5.4 64 位有一个 bubble 的仿真 .294.6 有两个 bubble 的仿真 .304.6.1 8 位有两个 bubble 的仿真 .304.6.2 16 位有两个 bubble 的仿真 .304.6.3 32 位有两个 bubble 的

22、仿真 .304.6.4 64 位有两个 bubble 的仿真 .漀爀欀恎O膗苿儰啓葜祖兲琀貋葵茰葛z鹷葛葠潏兠葓睶犂灞蒑蒁荝躁癥蒁捶靾睟岍著繙苿晨桫踰魣虏R葙癸0葥祖兲停套葧豧譎蒖啶茰屎晎癸葺v睝捾菿梋葴癸塎籎摟煫潏虒葙虵菿屝摎祖兲卢b號琀吀伀梉虵祖兲楿綗葔敒衎誖b葥其畿兎畿辘葎其畿睷斍豜偑腎驖葛吀甀折蒗鮏彎膗聎啻葓吀甀折P坎蝴捥茠虔葓蕶恗葏癦豎癢啎齏扎蒗fN聧啻葓譶偏蟿捥潏豠啔螈0吀蜀捥筹譼著蕶蟿豧例梉艖啙鮏袋荎吀騀虎葒顨蔰鰰卥豏靔卼獬譎偏蝎例梉顨萀敟蝎u葙厞坏敛虹畎折佗梉葎鱥惿N膗吀匀倀一吀儀畿緿荱吀衦葵虎吀兎葎吀坣吀阀敟虑N兎畿葺聥吀栀喈吀栀喈楓啢虜吀萀齶虔繨虔靎卺鮏虔湎蒐齶苿桒蜰豨扎f吀栀喈葞其畿儰畿梘喈冋畿轺葑鍶斏畑折匰啢萀鍗斏葎灶湥譎偏卜b罎垕桒桒轺豥鮏灎湥葙鮏吀栀喈蕧瑓葎恦敵匀倀一吀甀折蒗葔鮏轺桒叿啢Q桎喈畓折蒗潏腠攀洀愀椀氀攀羀桒蒈轺惿罎蒖匀倀一吀猀匀倀一吀萀齞虎皉兎畿聓葒颕齗葙譎偏凿畿桒筟兎畿z啎葲轺鹞譛舰鱙兎畿袘衜兎畿桒腜靓睟炍繎蒋轺潞h桒虎颕潟虓匀倀凿轺葫譪匀倀虑颕虑葠猰罓匀倀婱葰颕匀倀鮐婎葟偳鮐葟匀倀瘀捷衫荎葛潟荵虺N葾v獓苿匀倀谀匀倀一吀聹罎O饿兠畿N膗镟葜杶艾蹵齎獎齢湎0葒匀倀猀

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 应用文书 > 毕业论文

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:文库网官方知乎号:文库网

经营许可证编号: 粤ICP备2021046453号世界地图

文库网官网©版权所有2025营业执照举报