收藏 分享(赏)

《数字电子技术》实验指导书.doc

上传人: 文档编号:9036290 上传时间:2022-10-22 格式:DOC 页数:27 大小:5.91MB
下载 相关 举报
《数字电子技术》实验指导书.doc_第1页
第1页 / 共27页
《数字电子技术》实验指导书.doc_第2页
第2页 / 共27页
《数字电子技术》实验指导书.doc_第3页
第3页 / 共27页
《数字电子技术》实验指导书.doc_第4页
第4页 / 共27页
《数字电子技术》实验指导书.doc_第5页
第5页 / 共27页
亲,该文档总共27页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

1、实验一: 楼梯照明电路的设计设计一个楼梯照明电路,装在一、二、三楼上的开关都能对楼梯上的同一个电灯进行开关控制。合理选择器件完成设计。1实验目的(1)学会组合逻辑电路的设计方法。(2)熟悉74系列通用逻辑芯片的功能。(3)学会数字电路的调试方法。(4)学会数字实验箱的使用。2实验前准备(1)复习组合逻辑电路的设计方法。(2)熟悉逻辑门电路的种类和功能。(3)实验器材准备:数字电路实验箱、导线若干。3实验内容1)分析设计要求,列出真值表。设A、B、C分别代表装在一、二、三楼的三个开关,规定开关向上为1,开关向下为0;照明灯用Y代表,灯亮为1,灯暗为0。根据题意列出真值表如表1所示。表1 照明电路

2、真值表输入输出ABCY000000110101011010011010110011112)根据真值表,写出逻辑函数表达式。3)将输出逻辑函数表达式化简或转化形式。4)根据输出逻辑函数画出逻辑图。如图1所示。图1 照明电路逻辑图5)实验箱上搭建电路。将输入变量A、B、C分别接到数字逻辑开关k1(对应信号灯LED1)、k2(对应信号灯LED2)、k3(对应信号灯LED3)接线端上,输出端Y接到“电位显示”接线端上。将面包板的Ucc和“地”分别接到实验箱的+5V与“地”的接线柱上。检查无误后接通电源。6)将输入变量A、B、C的状态按表2-19所示的要求变化,观察“电位显示”输出端的变化,并将结果记录

3、到表2中。表2 照明电路实验结果输入输出LED1LED2LED3电位输出暗暗暗暗暗亮暗亮暗暗亮亮亮暗暗亮暗亮亮亮暗亮亮亮4实验报告(1)写出设计过程(2)整理实验记录表,分析实验结果(3)画出用与非门、或非门和非门实现该电路的逻辑图实验二: 三人表决器的设计设计一个三人(用A、B、C代表)表决电路。要求A具有否决权,即当表决某个提案时,多数人同意且A也同意时,提案通过。用与非门实现。1实验目的(1)学会组合逻辑电路的设计方法。(2)学会数字电路的调试方法。(3)了解数字实验箱的使用。2实验前准备(1)复习组合逻辑电路的设计方法。(2)熟悉逻辑门电路的功能。(3)实验器材:数字电路实验箱、导线若

4、干。3实验内容1)分析设计要求,列出真值表。设A、B、C三人表决同意提案时用1表示,不同意时用0表示;Y为表决结果,提案通过用1表示,通不过用0表示,同时还应考虑A具有否决权。由此可列出表3所示的真值表。表3 三人表决器的真值表输入输出ABCY000000100100011010001011110111112)根据真值表,写出逻辑函数表达式。3)将输出逻辑函数化简后,变换为与非表达式。4)据输出逻辑函数画逻辑图。根据上式可画出图2所示的逻辑图。图2 三人表决器逻辑图5)实验箱上搭建电路。将输入变量A、B、C分别接到数字逻辑开关k1(对应信号灯LED1)、k2(对应信号灯LED2)、k3(对应信

5、号灯LED3)接线端上,输出端Y接到“电位显示”接线端上。将面包板的Ucc和“地”分别接到实验箱的+5V与“地”的接线柱上。检查无误后接通电源。6)将输入变量A、B、C的状态按表2-21所示的要求变化,观察“电位显示”输出端的变化,并将结果记录到表4中。表4 三人表决器实验结果输入输出LED1LED2LED3电位输出暗暗暗暗暗亮暗亮暗暗亮亮亮暗暗亮暗亮亮亮暗亮亮亮4实验报告(1)写出设计过程(2)整理实验记录表,分析实验结果(3)画出用或非门和非门实现该电路的逻辑图实验三: 实验 GW48-PK2教学实验平台认识GW48-PK2是杭州康芯电子有限公司开发的EDA教学平台,主要由开发主板和可编程

6、逻辑器件适配板组成。从物理结构上看,实验板的电路结构是固定的,但系统的实验电路结构可以通过接口按键的操作,在主控器的控制下使其结构发生改变。这种“多任务重配置”设计方案能够达到两个目的:一个是用同一块适配板完成更多的实验项目开发;另一个是通过更换适配板,开发不同类型或不同封装的可编程逻辑器件。1实验目标(1)认识EP1K30适配板(2)了解可编程逻辑器件管脚与主系统的连接关系(3)学会实验平台的使用2使用注意事项(1)实验平台闲置不用时,必须关闭电源,拔下电源插头。(2)在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。(3)尽可能不要随意插拔适配板及实验系统上的其

7、他芯片。更换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确定插对后才能开电源。(4)对于右下角的“时钟频率选择”区的Clock0上的短路帽,平时不要插在50M或100M的高频处,以免高频辐射。3开关与跳线GW48-PK2教学平台上有一些开关和跳线,可以将系统设置为不同的工作状态。这些开关和跳线可以带电操作。(1)主板左侧上方开关(+/-12V电源)是默认向下的,即关闭电源。该电源有指示灯,是模拟信号发生源的电源,需要模拟信号时,可以打开。(2)左侧中部的“下载允许开关”默认向上(即DLOAD,允许下载),表示可以向适配板上的可编程逻辑器件下载程序。当拨向下(即LOCK,锁定)时,将关

8、闭下载口,这时可以将下载并行线拔下,已经下载进FPGA的文件不会由于下载接口线的电平变动而丢失。(3)主板右侧开关默认拨向右(TO_MCU),其功能时使PC机的RS232串行接口与单片机的P3.0和P3.1口相接。(4)中部的跳线座SPS 默认向下短路,即禁止测频。(5)左下角拨码开关除第4档“DS8使能”向下拨(数码管8显示使能)外,其余皆默认向上。(6)主板左侧中部的跳线座JP6是对芯片I/O电压作选择。对5V器件,如EPF10K10、EPF10K20、EPM7128S等,必须短接5.0V一端。而对低于或等于3.3V的器件,如EP1K30、EP1K50、EPF10K30E等,要短接3.3V

9、一端。(7)跳线座JP5是编程模式选择。只有对Cyclone系列芯片进行配置时,短路ByBt端;对其他芯片下载时,短路Others一端。4按键与指示灯(1)模式选择键:按动该键能使实验平台产生12种不同的电路结构,并通过“模式指示”数码管显示电路结构编号。例如选择No.6电路结构,就按动模式选择键,直到数码管显示6,系统即进入No.6电路图所示的实验电路结构。(2)键1键8 :实验信号控制键,此8个键受“多任务重配置”电路控制,键的输出信号没有抖动问题。这8个键在每一张电路图中的功能及其与主系统的连接方式随模式选择的改变而变,使用时需参照模式选择的电路图。(3)键9键14 :实验信号控制键,此

10、6个键不受“多任务重配置”电路控制,用跳线与适配板相连,存在抖动问题,可以通过这几个键完成消抖动电路的设计练习。(4)发光管D1D16 :受“多任务重配置”电路控制,它们与主系统的连线形式需参照选择的实验电路图。(5)数码管18:受“多任务重配置”电路控制,它们与主系统的连线形式也需参照选择的实验电路图。5时钟与扬声器(1)时钟频率选择:共有四组时钟输入端:CLOCK0、CLOCK2、CLOCK5和CLOCK9。通过跳线短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号,每一组频率源及其对应时钟输入端,分别只能插一个短路帽。例如CLOCK0时钟组,其信号频率范围:0.5Hz50MHz。但同

11、时只能插一个短路帽,以便选择输出CLOCK0的一种频率。(2)扬声器:目标芯片声讯输出,与目标芯片的SPEAKER端相接,通过此口可以利用声音了解信号的频率或直接输出音乐。6适配板GW48-PK2教学平台可以配置多种适配板,开发不同的芯片要选取不同的适配板。这里以GWAK30为例介绍,板上的目标芯片是Altera公司的EP1K30TC144-1可编程逻辑器件,最大可编程逻辑门是119000个,共有144个管脚。其管脚功能名称及其编号如表5所示。表5 管脚功能名称及编号表名称管脚编号名称管脚编号名称管脚编号名称管脚编号I/O08I/O1933I/O3883I/O677I/O19I/O2036I/

12、O3986I/O68119I/O210I/O2137I/O4087I/O69118I/O312I/O2238I/O4188I/O70117I/O413I/O2339I/O4289I/O71116I/O517I/O2441I/O4390I/O72114I/O618I/O2542I/O4491I/O73113I/O719I/O2665I/O4592I/O74112I/O820I/O2767I/O4695I/O75111I/O921I/O2868I/O4796I/O7611I/O1022I/O2969I/O4897I/O7714I/O1123I/O3070I/O4998I/O78110I/O1226

13、I/O3172I/O60137I/O79109I/O1327I/O3273I/O61138SPEAKER99I/O1428I/O3378I/O62140CLOCK0126I/O1529I/O3479I/O63141CLOCK254I/O1630I/O3580I/O64142CLOCK556I/O1731I/O3681I/O65143CLOCK0124I/O1832I/O3782I/O661447实验电路结构图GW48-PK2实验平台能够提供12种不同的电路结构,每种电路结构对应一张电路结构图。由于本书的大部分实验都能够在No.6实验电路上实现,就以No.6为例介绍。如图3所示。图3 No.6电

14、路结构(1)电路结构:键8键3接高低电平发生器,每按键一次,输出电平由高到低、或由低到高变化一次,对应的发光管是D16D12。当键按下时,输出为高电平,按键对应的发光管变亮;键抬起时,输出低电平,对应的发光管变暗。键2和键1是十六进制码(8421码)发生器,由对应的按键控制输出由4位二进制构成的1位十六进制数码,数码的范围是00001111,即十六进制的0F。每按键一次,输出递增1,进入目标芯片的4位二进制数以十六进制形式显示在该键对应的数码管2或1上。(2)电路图与芯片管脚对应关系:为了便于设计,对照表和图,把电路图上的输入、输出接口与适配板上芯片管脚的对应关系列表,如表6所示。表6 实验电

15、路结构图No.6管脚对应表名称按键指示灯管脚名称管脚编号键8D16PIO1327键7D15PIO1226键6D14PIO1123键5D13PIO1022键4D12PIO921键3D11PIO820键2(十六进制)数码管2PIO7 PIO419、18、17、13键1(十六进制)数码管1PIO3PIO012、10、9、8指示信号灯D8PIO2339D7PIO2238D6PIO2137D5PIO2036D4PIO1933D3PIO1832D 2PIO1731D 1PIO1630数码管数码管8(ag)PIO40PIO4687、88、89、90、91、92、95数码管7(ag)PIO32PIO3873、

16、78、79、80、81、82、83数码管6(ag)PIO24PIO3041、42、65、67、68、69、70数码管5(ag)PIO16PIO2230、31、32、33、36、37、38扬声器SPEAKERI/O 5099时钟CLOCK0INPUT1126CLOCK2INPUT354CLOCK5I/O 5356CLOCK9GCLOCK21248实验报告(1)实验平台使用时应注意那些问题(2)记录GW48-PK2的开关与跳线设置(3)如何使实验平台进入No.6实验电路模式(4)说明按键1、2与其他按键的区别实验四: 应用MAX+plus分析组合逻辑电路1实验目标(1)学习MAX+plus软件的使

17、用方法(2)学会利用MAX+plus分析逻辑电路(3)能够正确分析时序波形图2实验题目利用MAX+plus软件,对图4所示的逻辑图进行编译和仿真,并选择器件进行定时分析。图4 实验题目3项目建立1)建立设计文件夹:在d盘根目录下建立d:Exam4.1文件夹。2)启动MAX+plus10.2 BASELINE:单击“开始”“程序”MAX+plus10.2 BASELINEMAX+plus10.2 BASELINE项。3)建立项目:单击标题栏的FileProjectName菜单,出现项目名称对话框。在 Directories 区域内,双击d:Exam4.1文件夹,然后在Project Name的空

18、白区,输入项目名Vote,单击【OK】按钮。4编辑文件1)建立新文件:选取窗口菜单FileNew,出现对话框,选择Graphic Editor File选项后,单击【OK】按钮,进入图形编辑器的编辑环境。2)设置网格线:单击标题栏中的OptionsShow Guidelines选项,让该项前面出现一个对钩,表示选中。再单击OptionsGuideline Spacing.菜单,在弹出的网格线间距设置对话框内,输入5、6(设置网格线的密度)。3)输入元件符号:用鼠标左键在图形编辑器中的图形编辑区上双击,弹出符号输入对话框。在Symbol Name中依次输入AND2、AND3、INPUT和OUTP

19、UT。4)复制元件:按照题目要求,分别将AND2复制成3个、INPUT复制成3个。可在选中元件后,按住Ctrl键,对该元件拖动,即可拖出一个被复制的元件。如图5所示。图5 元件符号5)确定元件位置并连接:选中需要移动元件,用鼠标拖动可以使编辑区内的图形符号任意移动,左键释放,则图形元件定位。元件位置确定后,就可以连线了。将鼠标移至某一元件符号的外轮廓边缘的管脚处,鼠标箭头会自动变成十字形状。此时可以按住左键拖动,直至另一个需要连接的元件输入或输出管脚处,松开左键。按照图4-53所示连接。6)更改输入和输出管脚名称:在PIN_NAME处双击鼠标左键,进行更名,输入管脚名称分别为A、B、C,输出管

20、脚为Y。7)存盘:单击FileSave选项,将此图形编辑文件按默认名存盘。5编译1)选择编程器件:单击AssignDevice选项,打开器件选择对话框。单击Device Family区的下拉按钮,选择ACEX1K系列,在Devices区中选择EP1K30TC144-1可编程逻辑器件,单击【OK】按钮。2)编译:单击FileProjectSave & Compiler(保存文件同时编译)选项,编译器就开始对当前设计进行编译。如果出现错误,改正后再次编译,直到编译成功。编译结果如图6所示。图6 编译结果3)创建电路符号:单击菜单FileCreat Default Symbol选项,产生Vote.s

21、ym文件,代表现在所设计的电路,可以作为独立的元件供其他设计调用。单击FileEdit Symbol选项,进入Symbol Edit窗口,可以看到所创建的电路符号。4)创建电路包含文件:单击菜单FileCreat Default Include File选项,产生Vote.inc文件,可以供其他VHDL程序编辑时使用。6仿真1)建立波形输入文件:单击FileNew菜单,在打开新建文件类型对话框中选择Waveform Editor File选项,单击【OK】按钮。2)加入管脚:单击标题栏的NodeEnter Nodes from SNF选项,在打开的对话框中,单击【List】按钮,可在Avail

22、able Nodes &Groups区中,看到在当前设计中所使用的输入、输出信号管脚名称。单击【=】按钮,将这些信号选择到Selected Nodes & Groups区,单击【OK】按钮,如图7所示,MAX+plus就自动进入了编辑输入信号波形的状态。图7 波形编辑文件3)编辑前设置:单击标题栏的FileEnd Time菜单,设定仿真时间为1000ns。单击标题栏的OptionsSnap to Grid(对齐网格)和Show Grid(显示网格)两选项,使两选项前面出现对勾,再单击Grid Size选项,将网格大小设置为20ns。4)输入波形:单击图4-56中Name区的C输入管脚,使该管脚

23、的波形编辑区变成黑色。用鼠标从40.0ns拖动到80.0ns处,单击工具条中的按钮,将这段设为高电平。依次设置B和A,如图8所示。图8 编辑后的波形文件5)存盘:单击Filesave菜单,按默认文件名存盘。6)仿真:单击MAX+plusSimulator选项,打开仿真分析器,在End time设置框内输入1000ns。单击【Start】按钮,系统开始分析。完成仿真分析后,单击【Open SCF】按钮,打开波形文件,如图4-58所示。图8 仿真结果7传输延迟分析在项目管理器窗口下,单击MAX+plusTiming Analyzer选项,即可打开定时分析器。单击标题栏的AnalysisDelay

24、Matrix选项(默认情况下已经选中),单击【Start】按钮,分析结果如图9所示。图9 传输延迟测试结果8实验报告(1)画出数字电路分析流程图(2)记录仿真波形(3)分析给定电路功能实验五: 应用MAX+plus分析VHDL程序1实验目标(1)学习MAX+plus软件的使用方法(2)学会文本输入方式(3)能够利用波形图分析VHDL程序2实验题目利用MAX+plus软件,对给定的1对2数据分配器VHDL源程序进行编译和仿真,并选择器件进行定时分析。VHDL源程序如下:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY demuti IS PORT

25、( Date , S : IN STD_LOGIC; Y0, Y1 : OUT STD_LOGIC);END demuti;ARCHITECTURE A OF demuti IS BEGIN PROCESS BEGIN IF S=0 THEN Y0=Date; Y1=0; ELSE Y1=Date; Y0】按钮,将这些信号选择到Selected Nodes & Groups区,单击【OK】按钮,如图11所示,MAX+plus就自动进入了编辑输入信号波形的状态。图11 输入信号波形编辑文件3)编辑前设置单击标题栏的FileEnd Time菜单,设定仿真时间为1000ns。单击标题栏的Option

26、sSnap to Grid(对齐网格)和Show Grid(显示网格)两选项,使两选项前面出现对勾,再单击Grid Size选项,将网格大小设置为40ns。4)输入波形:分别单击上图中Name区的S和Date输入管脚,设置波形如图12所示。图12 输入波形设置5)存盘:单击Filesave菜单,按默认文件名存盘。6)仿真:单击MAX+plusSimulator选项,打开仿真分析器,在End time.设置框内输入1000ns。单击【Start】按钮,系统开始分析。完成仿真分析后,单击【Open SCF】按钮,打开波形文件,如图13所示。图13 仿真结果7传输延迟分析在项目管理器窗口下,单击MA

27、X+plusTiming Analyzer选项,即可打开定时分析器,系统进入定时分析状态。单击标题栏的AnalysisDelay Matrix选项(默认情况下已经选中),单击【Start】按钮,定时分析器立即开始对项目进行传输延迟分析,计算项目中每对连接节点之间的传输延迟,测试结果如图14所示。图14 传输延迟测试结果8实验报告(1)记录仿真波形(2)分析1对2数据分配器的逻辑功能(3)说明文本输入方式的特点实验六: 应用Quartus分析组合逻辑电路1实验目标(1)学习Quartus软件的使用方法(2)学会利用Quartus分析逻辑电路(3)能够正确分析波形图2实验题目利用Quartus软件

28、,对图15所示的逻辑电路进行编译和仿真,并选择器件进行仿真分析。图15 实验题目3图形文件编辑1)在计算机的D盘,建立文件夹D:Designs5.1作为工作库,以便将设计过程中的相关文件存储于此。2)双击桌面上的Quartus4.1图标或单击开始按扭,在程序菜单中选择Quartus4.1,可以启动Quartus4.1。3)单击标题栏中的FileNew对话框,单击New对话框的Device Design Files选项卡,选择Block Diagram/Schematic File选项,再单击【OK】按钮,打开图形编辑器窗口Block1.bdf窗口。在该窗口中双击鼠标,打开符号窗口,如图16所示

29、。图16 符号窗口4)在Name下的输入框中输入and4,如图17所示。图17 文本编译器单击【OK】按钮,再到图形编辑器窗口中单击鼠标左键,即输入一个四输入端与门。按照同样的方法输入input、output和xnor(同或门),并将xnor复制成四个。按照图15连接,并将管脚名称更改。5)单击FileSave As选项,存在已建立的文件夹d:Designs5.1下,存盘文件名Block1。当出现问句Do you want to create时,可选“否”。4. 创建工程1)打开建立新工程向导:单击FileNew Project Wizard菜单,出现新建工程向导对话框,单击【Next】按钮,

30、出现工程基本设置对话框,单击该对话框最上一栏右侧的【】按钮,出现选择路径对话框。单击查找范围输入框旁的下三角按钮,在下拉框中选定D: Designs5.1文件夹,单击Block1后,单击【打开】按钮,返回新建工程向导对话框。如图5-30所示。图18 选择文件夹目录2)将设计文件加入到工程中:单击图18中的【Next】按钮,在弹出的对话框中,单击【Add 】按钮,加入与工程目录有关的文件。3)选择仿真器和综合器类型:单击【Next】按钮,这时弹出选择仿真器和综合器类型的窗口。选择默认的NONE,表示使用Quartus中自带的仿真器和综合器。4)选择目标芯片:单击【Next】按钮,根据系统设计的实

31、际需要选择目标芯片。首先在Family栏选择芯片系列,本例选择Cyclone系列,单击Yes选项,表示手动选择。单击【Next】按钮,选择此系列的具体芯片:EPIC6Q240C8,如图19所示。图19 目标器件选择单击【Next】按钮后,弹出工程设置统计窗口,如图20所示。图20 摘要5)结束设置:单击【Finish】按钮,结束设置。在Quartus4.1主窗口的左侧,可看到本工程项目的层次结构和实体名。5. 目标芯片的配置1)选择目标芯片:单击标题栏中的AssignmentsSettings菜单,在弹出的设置对话框中选Category下的Device选项,然后在右侧选择目标芯片EPIC6Q2

32、40C8(此芯片已在建立工程时选定了)。2)选择目标器件编程配置方式:单击【Device & Pin Options】(图中字母D被挡住了)按钮进入器件与管脚操作对话框,首先选择Configuration项,在此框的下方有相应的说明,在此可选Configuration方式为Passive Serial,这种方式可以直接由PC机配置,也可由专用配置器件进行配置。使用的配置器件选Auto(系统自动配置)。3)选择输出配置:单击Programming Files选项卡,可以选Hexadecimal,即产生下载文件的同时,产生十六进制配置文件,Start(起始地址)设为0,Count(计数)设为Up(

33、递增方式)。4)选择目标器件闲置管脚的状态:单击Unused Pins选项卡,将目标器件空闲管脚的状态设置为输出状态(呈低电平)。最后,单击设置对话框中的【OK】按钮。6. 编译1)编译:单击标题栏中的ProcessingStart Compilation选项,启动全程编译。2)改错:如果工程文件中有错误,可双击提示信息,在闪动的光标处(或附近)仔细查找,改正后存盘,再次进行编译,直到没有错误为止。3)阅读编译报告:编译成功后可以看到编译报告,左边栏目是编译处理信息目录,右边是编译报告。7. 仿真1)建立波形文件:单击FileNew选项,打开文件选择窗口。然后单击Other Files选项卡,

34、选择其中的Vector Waveform File选项,单击【OK】按钮。2)仿真参数设置:单击EditEnd Time选项,在弹出窗口中的Time输入框中键入50,单位选us,即整个仿真域的时间设定为50微秒,单击【OK】按钮。单击EditGrid Size选项,在弹出窗口中的Period输入框键入5.0,单位选us,仿真波形的周期设定为5.0微秒,单击【OK】按钮。3)波形文件存盘:单击FileSave选项,将波形文件以文件名Block1.vwf(默认名)存入文件夹D:Designs5.1中。4)输入信号节点:单击ViewUtility WindowsNode Finder选项,会打开一个

35、对话框。在该对话框的Filter空白栏中选Pins:all,然后点击【list】按钮。在下方的Nodes Found窗口中会出现了设计工程的所有端口管脚名,如图21所示。图21 管脚编辑用鼠标将所有端口节点逐个拖到波形编辑窗口,关闭Node Finder窗口。5)编辑输入波形:利用波形编辑按钮,分别给输入管脚编辑波形,如图5-34所示。图21 已编辑输入波形5)启动仿真及阅读仿真报告:单击标题栏中的ProcessingStart Simulation选项,即可启动仿真器。结果如图22所示。图22 仿真结果单击左侧的栏目,能够打开仿真报告。8实验报告(1)画出分析流程图(2)记录仿真波形(3)分

36、析给定电路功能实验七 应用Quartus分析VHDL程序1实验目标(1)学习Quartus软件的使用方法(2)学会利用Quartus分析VHDL程序(3)能够正确分析波形图2实验题目利用Quartus软件,对给定的VHDL程序进行编译和仿真,并选择器件进行仿真分析。VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY COMP IS PORT ( A, B : IN STD_LOGIC; YG, YE, YL : OUT STD_LOGIC);END COMP;ARCHITECTURE STR OF COMP IS BEGIN PRO

37、CESS (A, B) BEGIN IF AB THEN YG=1; YE=0; YL=0; ELSIF A=B THEN YG=0; YE=1; YL=0; ELSE YG=0; YE=0; YL=1; END IF; END PROCESS;END STR;3文本文件编辑1)在计算机的D盘,建立文件夹D:Designs5.2作为工作库,以便将设计过程中的相关文件存储于此。2)双击桌面上的Quartus4.1图标或单击开始按扭,在程序菜单中选择Quartus4.1,可以启动Quartus4.1。3)单击标题栏中的FileNew对话框,单击New对话框的Device Design Files选

38、项卡,选择VHDL File选项,再单击【OK】按钮,打开图形编辑器窗口Vhdl1.vhd窗口。在该窗口内,输入题目给定的程序。4)单击FileSave As选项,存在已建立的文件夹d:Designs5.2下,存盘文件名COMP.VHD。当出现问句Do you want to create时可选“否”。4. 创建工程1)打开建立新工程向导:单击FileNew Project Wizard菜单,出现新建工程向导对话框,单击【Next】按钮,出现工程基本设置对话框,单击该对话框最上一栏右侧的【】按钮,出现选择路径对话框。单击查找范围输入框旁的下三角按钮,在下拉框中选定D: Designs5.2文件

39、夹,单击COMP.vhd后,单击【打开】按钮,返回新建工程向导对话框。2)将设计文件加入工程中:单击【Next】按钮,在弹出的对话框中,单击【Add 】按钮,加入与工程目录中有关的文件。3)选择仿真器和综合器类型:单击【Next】按钮,这时弹出选择仿真器和综合器类型的窗口。选择默认的NONE,表示使用Quartus中自带的仿真器和综合器。4)选择目标芯片:单击【Next】按钮,根据系统设计的实际需要选择目标芯片。首先在Family栏选择芯片系列,选择Cyclone系列,单击Yes选项,表示手动选择。单击【Next】按钮,选择此系列的具体芯片:EPIC6Q240C8。单击【Next】按钮后,弹出

40、工程设置统计窗口,如图23所示。图23 摘要5)结束设置:单击【Finish】按钮,结束设置。在Quartus4.1主窗口的左侧,可看到本工程项目的层次结构和实体名。5. 目标芯片的配置1)选择目标芯片:单击标题栏中的AssignmentsSettings菜单,在弹出的设置对话框中选Category下的Device选项,然后在右侧选择目标芯片EPIC6Q240C8(此芯片已在建立工程时选定了)。2)选择目标器件编程配置方式:单击【Device & Pin Options】(图中字母D被挡住了)按钮进入器件与管脚操作对话框,首先选择Configuration项,在此框的下方有相应的说明,在此可选Configuration方式为Passive Serial,使用的配置器件选Auto(系统自动配置)。3)选择输出配置:单击Programming Files选项卡,可以选Hexadecimal,即产生下载文件的同时,产生十六进制配置文件,Start(起始地址)设为0,Count(计数)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高中资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:文库网官方知乎号:文库网

经营许可证编号: 粤ICP备2021046453号世界地图

文库网官网©版权所有2025营业执照举报