收藏 分享(赏)

20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf

上传人:myking 文档编号:5752255 上传时间:2022-06-23 格式:PDF 页数:103 大小:8.35MB
下载 相关 举报
20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf_第1页
第1页 / 共103页
20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf_第2页
第2页 / 共103页
20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf_第3页
第3页 / 共103页
20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf_第4页
第4页 / 共103页
20220622-国盛证券-电子行业深度半导体设备&材料国产加速.pdf_第5页
第5页 / 共103页
亲,该文档总共103页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

1、 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 证券研究报告 | 行业深度 2022 年 06 月 22 日 电子电子 半导体设备半导体设备&材料:国产加速材料:国产加速 全球领先的晶圆代工厂将在全球领先的晶圆代工厂将在 20212023 年之间进行大规模半导体设备投资。年之间进行大规模半导体设备投资。根据 IC Insights,全球代工厂资本开支约占半导体总体的 35%,头部代工厂2022 年资本开支规划进一步提升。台积电 2021 年 CapEx 300 亿美金(用于N3/N5/N7 的资本开支占 80%),预计 2022 年将提升至 400-440 亿美金;联电2021 年 Cap

2、Ex 18 亿美金,预计 2022 年翻倍达到 36 亿美金(其中 90%将用于12英寸晶圆);GlobalFoundries 于 2021年 IPO后资本开支大幅提升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金,预计 2022 年超过40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。 2021 年全球半导体设备市场规模创年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第一。亿美元新高,大陆首次占比全球

3、第一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,创历史新高。大陆设备市场在 2013年之前占全球比重低于 10%,20142017年提升至 1020%,2018 年之后保持在 20%以上,份额呈逐年上行。2020-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次排市场全球首位,占比 28.9%,2021达到296.2亿美元,同比增长 58%。展望 2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。 全球设备五强占市场主导角色,在手订单饱满,供应链限制延续。全球设备五强占市场主导角色,在手订单饱满

4、,供应链限制延续。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强AMAT、LAM、TEL。此外光刻机龙头ASML市占率超80%;过程控制龙头KLA市占率 50%。ASML、AMAT、LAM、TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。海外龙头一季度受供应链、零部件等影响交期延长,毛利承压,但目前在手订单饱满,需求乐观,展望下半年增长强劲。 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备收入、利润快速增长,国产替代持续深化。北方华创产品布局广泛,刻蚀、沉积、炉管持续放量;中微公司 CCP 打入 TSMC,ICP

5、 加速放量,新款 MOCVD 设备 UniMax 2022Q1 订单已超 180 腔;拓荆科技 PECVD 已用于国内知名晶圆厂 14nm 及以上制程产线,累计发货超 150 台;芯源微新签订单结构中前道产品占比大幅提升;精测电子产品迭代加速,OCD、电子束进展超预期;华峰测控订单饱满新机台加速放量;设备核心公司 2022Q1 营收总计 72.7 亿元,yoy+55%;扣非归母净利润 10.7 亿元,yoy+83%。行业持续高速增长,国产替代空间快速打开,国内核心设备公司成长可期。 半导体材料供应受限,国产替代进程加快。半导体材料供应受限,国产替代进程加快。2021 年全球半导体材料市场规模创

6、643 亿美金新高,中国大陆需求占比 18.6%。贸易摩擦、自然灾害导致半导体原材料供应受限,致使如光刻胶、CMP 材料及电子特气等外资厂商高市占率产品存在的断供可能性,进一步推动国产材料需求及国产替代化进度。随着技术及工艺的推进以及中国电子产业链逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。 各类材料持续持续突破,国产替代空间广阔。各类材料持续持续突破,国产替代空间广阔。我们选取 10 家代表性公司,2021年电子材料营收综合约为 98 亿元人民币,考虑到其他未收录的非上市公司及上市公司,乐观假设中国电子半导体材料营收规模 150 亿元(更多的为中低端产品,高端产品仍

7、然在持续突破及替代),在当前 643 亿美元的全球市场之中也仅仅 4%不到的替代率;在中国所需的产值约 119 亿美元的市场需求中,也仅占19%,因此可以看到中国无论是在中国市场或者全球市场之中,均有着巨大的国产化空间。 重点推荐:设备:重点推荐:设备:北方华创、芯源微、新益昌、华海清科、拓荆科技、华峰测控、中微公司、长川科技、盛美上海、精测电子、至纯科技、万业企业。材料:材料:彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、雅克科技、立昂微、华特气体、金宏气体、晶瑞股份、南大光电。 风险提示:国产替代进展不及预期、全球贸易纷争影响、下游需求不确定性。风险提示:国产替代进展不及预期

8、、全球贸易纷争影响、下游需求不确定性。 增持(维持)增持(维持) 行业走势行业走势 作者作者 分析师分析师 郑震湘郑震湘 执业证书编号:S0680518120002 邮箱: 分析师分析师 佘凌星佘凌星 执业证书编号:S0680520010001 邮箱: 研究助理研究助理 刘嘉元刘嘉元 执业证书编号:S0680120120006 邮箱: 相关研究相关研究 1、 电子:政策刺激助力需求反弹,供应链预期逐步恢复2022-05-30 2、 电子:22Q1 全球视角:半导体整体供应不求,高景气延续2022-05-24 3、 电子:关注汽车电子发展机会2022-05-16 -16%0%16%32%48%6

9、4%2020-062020-092021-01电子沪深300更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.2 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 内容目录 内容目录 一、半导体设备:大陆需求快速增长,国产替代加速 . 7 1.1 全球设备市场创新高,受益于资本开支提升、制程节点进步 . 7 1.2 前道设备占主要部分,测试需求高增速 . 14 1.3 全球市场受海外厂商主导,前五大厂商市占率较高 . 16 1.4 海外设备厂商在手订单饱满,供应链限制延续 . 17 1.5 国内需求爆发,国产替代空间快速打开 . 26 1.6 2022Q1 国产设备厂商

10、营收持续高增 . 28 二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小 . 32 三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加 . 36 四、薄膜设备:用于沉积物质,在设备市场占比较高 . 44 五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用 . 51 六、过程控制:制造过程的准确性检测 . 54 七、测试设备:用于测试晶圆片及成品 . 59 八、化学机械抛光:全局纳米级平坦化 . 61 九、半导体材料:晶圆厂持续扩产,材料拐点已至 . 68 9.1 晶圆代工扩产拉动材料需求持续增长 . 68 9.2 各类材料持续持续突破,业绩佐证国产替代正式开幕 . 71 十、光刻胶:产品

11、逐步突破,国产替代已开启 . 73 十一、CMP:突破重围,国产化启动 . 81 十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升 . 85 十三、电子特气:需求空间大,拉开进口替代序幕 . 94 十四、湿电子化学品:内资龙头效应显著 . 100 十五、投资建议 . 101 15.1 设备 . 101 15.2 材料 . 102 十六、风险提示 . 102 图表目录 图表目录 图表 1:全球半导体设备季度销售额(亿美元) . 7 图表 2:全球半导体设备分地域季度销售额(亿美元) . 7 图表 3:中国大陆半导体设备市场规模(亿美元) . 8 图表 4:中国半导体设备市场维持高速增长

12、 . 8 图表 5:北美半导体设备月销售额(亿美元) . 8 图表 6:全球半导体月度销售额(亿美元) . 8 图表 7:全球半导体销售规模 . 9 图表 8:各机构预测 2022 年全球半导体市场增速 . 9 图表 9:半导体设备市场增速周期性 . 9 图表 10:海外半导体设备龙头季度营收跟踪(亿美元) . 10 图表 11:海外半导体设备龙头季度营收同比增速跟踪 . 10 图表 12:全球半导体资本开支(亿美金) . 11 图表 13:全球晶圆厂前道设备支出(亿美金) . 11 图表 14:全球半导体资本开支集中度持续提升 . 11 图表 15:2022 年资本开支增速较快的厂商(百万美

13、金) . 11 图表 16:2021 年全球代工行业营收份额 . 12 图表 17:七家晶圆代工企业资本开支(亿美元) . 12 图表 18:全球 12 英寸晶圆制程结构 . 13 图表 19:100K 产能对应投资额要求(亿美元) . 13 图表 20:集成电路前道工艺对应设备 . 14 图表 21:半导体测试设备应用环节 . 14 图表 22:半导体封装流程 . 14 图表 23:半导体制造领域典型资本开支分布 . 15 图表 24:2013-2018 年全球半导体设备按工艺流程划分(亿美元) . 15 更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.3 请仔细阅

14、读本报告末页声明请仔细阅读本报告末页声明 图表 25:2020-2023 年全球半导体设备按工艺流程划分(亿美元) . 15 图表 26:全球半导体前道设备划分(百万美元) . 15 图表 27:全球半导体测试设备划分(百万美元) . 15 图表 28:泰瑞达分业务季度营收及公司市场看法(百万美元) . 16 图表 29:AMAT、LAM、TEL 主导大部分前道工艺 . 16 图表 30:全球半导体设备厂商排名(亿美金) . 17 图表 31:五大设备厂商行业格局(百万美元) . 17 图表 32:ASML 季度营收及其增速 . 18 图表 33:ASML 季度利润率 . 18 图表 34:2

15、022Q1 新增订单结构情况 . 19 图表 35:ASML 销售结构 . 19 图表 36:Lam Research 季度营收及增速 . 20 图表 37:Lam Research 季度利润率 . 20 图表 38:Lam Research 2022Q1 营收结构 . 21 图表 39:爱德万业绩表现(十亿日元) . 21 图表 40:KLAC 营收及增速(亿美元,%,取自然年) . 22 图表 41:KLAC 营收分业务拆分 . 23 图表 42:KLAC 营收分产品拆分 . 23 图表 43:KLA 对于 CY2022Q2 业绩预告 . 24 图表 44:泰瑞达 2022Q1 收入情况

16、. 24 图表 45:泰瑞达 2022Q1 业绩分业务拆分 . 25 图表 46:东京电子业绩情况 . 25 图表 47:东京电子分业务情况 . 26 图表 48:国内晶圆厂投资规模(亿元) (20202022 年为预测数据) . 27 图表 49:国产设备替代进程 . 28 图表 50:设备核心公司营业收入及归母净利润(亿元) . 28 图表 51:设备核心公司毛利率 . 29 图表 52:设备核心公司研发费用(亿元) . 29 图表 53:设备核心公司经营增速 . 29 图表 54:设备核心公司预收账款/合同负债(亿元) . 30 图表 55:设备核心公司合同负债占营收比 . 30 图表

17、56:国内晶圆厂投建扩产计划 . 31 图表 57:光刻机技术特点 . 33 图表 58:光刻机技术路径(2020 年后为预测情况) . 33 图表 59:不同产品随着制程节点提升各类光刻技术对应层数的需求比例 . 33 图表 60:光刻机技术示意图 . 33 图表 61:EUV 目标市场范围(2020 年后为预测情况) . 34 图表 62:Foundry 和 DRAM 精度仍会不断提升(2020 年后为预测情况) . 34 图表 63:两次技术分水岭奠定光刻机格局 . 34 图表 64:全球 EUV 光刻机出货量(台) . 35 图表 65:全球光刻机市场格局(百万美元) . 35 图表

18、66:上海微电子 600 系列光刻机 . 36 图表 67:上海微电子 600 系列光刻机主要技术参数 . 36 图表 68:刻蚀的目的是把图形从光刻胶转移到待刻蚀的薄膜上 . 37 图表 69:刻蚀工艺分类 . 37 图表 70:不同刻蚀设备主要技术指标 . 38 图表 71:电容性等离子体刻蚀反应腔 . 38 图表 72:电感性等离子体刻蚀反应腔 . 38 图表 73:刻蚀类别 . 39 图表 74:多重成像技术 . 39 图表 75:电感性等离子体刻蚀反应腔 . 39 图表 76:刻蚀步骤逐渐增加(步骤数量) . 39 图表 77:干法刻蚀市场(百万美元) (20212023 年为预测数

19、据) . 40 图表 78:刻蚀在晶圆设备市场比重提升 . 40 图表 79:全球刻蚀业务收入规模分别(百万美元) . 40 图表 80:干法刻蚀市场份额 . 41 更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.4 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 81:Conductor Etch 市场份额 . 41 图表 82:Dielectric Etch 市场份额 . 42 图表 83:北方华创刻蚀设备发展进程图 . 42 图表 84:北方华创刻蚀产品介绍 . 43 图表 85:中微公司刻蚀产品线布局 . 44 图表 86:薄膜设备分类 . 45 图表

20、 87:典型 CVD 工艺流程 . 45 图表 88:ALD 示例 . 45 图表 89:典型 CVD 工艺流程 . 46 图表 90:常见的薄膜材料制备工艺 . 46 图表 91:CVD 和 PVD 技术比较 . 46 图表 92:CVD 和 PVD 技术比较示意图 . 46 图表 93:全球沉积设备市场趋势(百万美元) . 47 图表 94:2020 年沉积设备市场结构(百万美元) . 47 图表 95:CVD 市场份额 . 48 图表 96:PVD 市场份额 . 48 图表 97:北方华创 PVD 机台 eVictor AX30 Al pad PVD . 49 图表 98:北方华创 LP

21、CVD 机台 THEORIS SN302D . 49 图表 99:拓荆科技主要产品情况 . 50 图表 100:中微公司战略规划 . 51 图表 101:清洗原理 . 52 图表 102:清洗环节 . 52 图表 103:全球半导体清洗设备规模(2021-2024 位预测数据) . 52 图表 104:2020 年全球半导体清洗设备市场格局 . 52 图表 105:至纯科技主要产品 . 53 图表 106:区分过程控制(检测、测量)和 ATE(测试) (2019 年市场空间) . 54 图表 107:检测缺陷&量测尺寸 . 55 图表 108:测量、检测分类 . 55 图表 109:不同环节关

22、键过程控制指标 . 55 图表 110:全球过程控制市场(百万美元) . 56 图表 111:过程控制在晶圆设备市场比重 . 56 图表 112:过程控制细分市场(百万美元) (2021 年为预测数据) . 57 图表 113:2020 年全球过程控制市场格局 . 57 图表 114:公司电子束检测设备 eViewTM 全自动晶圆缺陷复查设备 . 58 图表 115:中科飞测系列产品覆盖 IC 前道和先进封装的各种检测需求 . 59 图表 116:集成电路测试设备主要功能 . 59 图表 117:华峰测控主要产品系列 . 60 图表 118:长川科技测试机及分选机主要产品系列 . 61 图表

23、119:CMP 设备在芯片制造前道工艺中的环节 . 62 图表 120:CMP 抛光去除速率对比 . 62 图表 121: CMP 平坦化效果图(CMOS 结构剖面图) . 62 图表 122:CMP 抛光模块示意图 . 63 图表 123:CMP 抛光作业原理图 . 63 图表 124:9-11 层金属结构 Cu CMP 的示意图 . 63 图表 125:三个不同尺寸和制程的晶圆产线项目每万片月产能对应的设备数量需求 . 64 图表 126:CMP 工艺应用到研磨头、研磨垫、研磨液 . 64 图表 127:CMP 设备的相关配套组成 . 64 图表 128:2020 年全球 CMP 设备市场

24、区域结构 . 65 图表 129:2020 年全球 CMP 设备竞争格局 . 65 图表 130:华海清科发展历程 . 65 图表 131:华海清科主要产品情况 . 66 图表 132:华虹无锡 2022 年 1-5 月化学机械抛光设备采购情况 . 67 图表 133:长江存储 2019-2020 年化学机械抛光设备采购情况 . 67 图表 134:全球半导体销售市场规模 . 68 图表 135:全球半导体材料市场规模 . 68 图表 136:2020 及 2021 年分地区半导体材料市场营收(亿美元) . 68 更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.5 请

25、仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 137:封装及晶圆制造材料市场规模 . 68 图表 138:半导体材料分类 . 69 图表 139:晶元制造过程所需材料 . 69 图表 140:半导体原材料分布情况 . 70 图表 141:2021 年半导体材料市场按地域分布 . 70 图表 142:半导体材料国产化进程 . 71 图表 143:半导体材料公司在电子材料业务领域营收情况(亿元) . 71 图表 144:当前部分 A 股半导体材料公司在细分领域的进展及后续规划(研发费用为 2021 年数字,亿元) . 72 图表 145:光刻技术及光刻材料的发展 . 73 图表 146:正

26、性及负性光刻胶的反应原理 . 74 图表 147:光刻胶分类 . 74 图表 148:2019-2022 全球光刻胶产业市场规模(亿美元) . 75 图表 149:全球光刻胶应用份额占比 . 75 图表 150:国内光刻胶场规模 . 76 图表 151:中国半导体光刻胶及配套试剂市场规模 . 76 图表 152:国内半导体光刻胶市场规模(亿元) . 76 图表 153:中国光刻胶厂商生产结构情况 . 77 图表 154:全球光刻胶市占率情况 . 77 图表 155:全球半导体光刻胶市占率情况 . 77 图表 156:2019 年 krf 光刻胶市场占比 . 77 图表 157:2019 年 a

27、rf 光刻胶市场占比 . 77 图表 158:2019 年 g/i 线光刻胶市场占比 . 78 图表 159:光刻胶龙头专利积累 . 78 图表 160:TOK 产品分类(按特征尺寸). 79 图表 161:TOK KrF 正性光刻胶系列 . 79 图表 162:KrF 深紫外光刻胶系列产品 . 79 图表 163:海外龙头光刻胶产品发展历程 . 80 图表 164:CMP 抛光模块示意图 . 81 图表 165:CMP 抛光作业原理图 . 81 图表 166:CMP 示意图 . 82 图表 167:钨 CMP 流程示意图 . 82 图表 168:Poly CMP 流程示意图(Poly 为 P

28、2) . 83 图表 169:抛光步骤随逻辑芯片技术进步增加 . 83 图表 170:9-11 层金属结构 Cu CMP 的示意图 . 83 图表 171:全球 CMP 材料市场规模(百万美金) . 84 图表 172:2021 年全球 CMP 材料市场规模及占比(亿美金) . 84 图表 173:抛光液主要生产企业 . 84 图表 174:抛光垫主要生产企业 . 84 图表 175:按终端应用领域分的 12 英寸硅片需求(千片/月) . 86 图表 176:全球 12 英寸抛光片及外延片需求(千片/月) . 86 图表 177:2020 年全球 8 英寸晶圆代工厂下游需求分布 . 87 图表

29、 178:全球 8 英寸晶圆下游产能需求预测(等效 8 寸片,千片/月) . 87 图表 179:全球 8 英寸晶圆厂产能情况(千片/月) . 87 图表 180:全球 8 英寸硅片季度出货预测(千片/月) . 88 图表 181:全球 12 英寸硅片季度出货预测(千片/月) . 88 图表 182:晶圆厂 12 英寸硅片库存 . 88 图表 183:全球 12 英寸硅片供需情况 . 89 图表 184:SUMCO 季度及同比增速 . 90 图表 185:SUMCO 毛利率及净利率 . 90 图表 186:信越化学电子材料季度营收及增速 . 90 图表 187:信越化学电子材料季度盈利水平及资

30、本投资情况 . 90 图表 188:台胜科月度营收情况 . 91 图表 189:环球晶圆季度营收及同比增速 . 92 图表 190:环球晶圆盈利水平 . 92 图表 191:全球硅片营收规模(亿美元,%) . 92 图表 192:全球硅晶圆出货面积(百万平方英寸,%) . 92 更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.6 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 193:不同尺寸半导体硅片的市场份额预测 . 93 图表 194:全球硅片平均单价及增速 . 93 图表 195:2016-2018 年半导体硅片厂商盈利水平快速提升 . 93 图表 1

31、96:中国台湾 12 英寸及以上硅片月度进口价格及趋势 . 94 图表 197:中国台湾 12 英寸及以上硅片进口量(万片/月) . 94 图表 198:中国台湾 8”及以上 12”(不含)以下硅片进口量(万片/月) . 94 图表 199:我国电子特气市场规模(亿元) . 95 图表 200:高纯电子特气市场格局(按应用) . 95 图表 201:电子气体分类 . 95 图表 202:电子气体分种类份额占比 . 96 图表 203:电子特气在晶圆制造中的应用 . 96 图表 204:我国集成电路产业销售额 . 97 图表 205:全球各地区 OLED 产能占比情况及预测 . 97 图表 20

32、6:全球电子特气市场规模(亿美金) . 97 图表 207:全球电子气体市场规模及预测(百万美元) . 97 图表 208:我国电子特气市场规模(亿元) . 98 图表 209:我国电子气体市场格局(2020 年) . 98 图表 210:公司电子特气项目建设规划 . 99 图表 211:公司电子特气产品认证情况 . 99 图表 212:2021 年至今公司披露的电子特气销售订单 . 100 图表 213:美国 SEMI 工艺化学品的国际标准等级 . 100 更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.7 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 一一、半

33、导体半导体设备设备:大陆需求快速增长,国产替代:大陆需求快速增长,国产替代加速加速 1.1 全球设备市场全球设备市场创新高,受益于资本开支提升、制程节点进步创新高,受益于资本开支提升、制程节点进步 2021 年年全球半导体设备市场规模全球半导体设备市场规模创创 1026 亿美元新高亿美元新高,大陆,大陆首次占比全球第一。首次占比全球第一。根据SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新高。大陆设备市场在 2013 年之前占全球比重为 10%以内,20142017 年提升至1020%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。202

34、0-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,2021达到296.2亿美元,同比增长58%,占比28.9%。展望2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。 图表 1:全球半导体设备季度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 图表 2:全球半导体设备分地域季度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 -30%-20%-10%0%10%20%30%40%50%60%70%0501001502002503002016-032016-122017-092018-062019-03201

35、9-122020-092021-062022-03全球半导体设备销售额全球半导体设备销售额yoy大陆占比050100150200250300其他欧洲韩国中国台湾日本北美中国大陆更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.8 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 3:中国大陆半导体设备市场规模(亿美元) 图表 4:中国半导体设备市场维持高速增长 资料来源:日本半导体制造装置协会,国盛证券研究所 资料来源:日本半导体制造装置协会,国盛证券研究所 北美半导体设备厂商月销售北美半导体设备厂商月销售额额 2021 年以来稳站年以来稳站 30 亿亿+美金美金

36、。通过复盘半导体行业景气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021年 1 月,北美半导体设备厂商月销售额首次突破了 30 亿美金关口,创历史新高,达到了 30.4 亿美金。此后月度销售额逐季创新高,至 12 月份销售额达到 39.2 亿美金,同比增长 46%。与此同时我们看到全球半导体销售市场自 2021 年 4 月以来连续 12 个月同比增速超过 20%,2022 年 3 月,全球半导体销售额达到 505.8 亿美金,同比增长23.0%,展望 2022全年,从各机构当前预测平均值来看

37、,预计2022年全球半导体市场仍将保持 10%以上同比增长。 图表 5:北美半导体设备月销售额(亿美元) 图表 6:全球半导体月度销售额(亿美元) 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 -100%-50%0%50%100%150%200%250%300%350%0501001502002503003502005 2007 2009 2011 2013 2015 2017 2019 2021中国大陆半导体设备市场yoy-100%-50%0%50%100%150%200%250%300%350%中国半导体设备增速全球半导体设备增速-40.0%-20.0%0.0%2

38、0.0%40.0%60.0%80.0%0510152025303540452017-01 2017-09 2018-05 2019-01 2019-09 2020-05 2021-01 2021-09北美半导体设备制造商出货额yoy-25%-20%-15%-10%-5%0%5%10%15%20%25%30%01002003004005006002017-01 2017-12 2018-11 2019-10 2020-09 2021-08全球半导体月度销售额yoy更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.9 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表

39、7:全球半导体销售规模 图表 8:各机构预测 2022 年全球半导体市场增速 资料来源:SIA,国盛证券研究所 资料来源:各机构官网,国盛证券研究所 半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。2017 年,存储厂商的大幅资本开支推动半导体设备迎来巨大需求,且这一势头一直延续到 2018年上半年。但随后产能过剩致使存储价格走低,导致 DRAM和 NAND厂商纷纷推迟设备订单。存储产能过剩一直持续到 2019 年上半年,同时上半年整体半导体行业景气度不佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆

40、厂陆续调高资本开支大幅扩产,2019 年全年半导体设备需求同比仍回落约 2%。2020 年全球各地先后受疫情影响,但存储行业资本支出修复、先进制程投资叠加数字化、5G 带来的下游各领域强劲需求,全年设备市场同比增长 19%。伴随半导体厂商新一轮资本开支开启,2021 年全球设备市场继续大幅增长 44%。当前海外设备龙头应用材料、泛林集团等均预计 2022年全球设备市场规模将进一步增长。 图表 9:半导体设备市场增速周期性 资料来源:Wind,国盛证券研究所 下游资本开支提升,下游资本开支提升,半导体设备周期半导体设备周期向上向上。伴随着下游资本开支提升,设备厂商营业收入增速从 2019Q2 触

41、底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单季度收入增速下调。复盘 2021 年,海外龙头全年营收高增速: 2021Q1:低基数高增长,北美设备出货在:低基数高增长,北美设备出货在 2021-01 首次单月超首次单月超 30 亿美元。亿美元。设备厂商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单季度收入增速下调。以 ASML 为例,疫情后营收增速恢复,2021Q1 半导体设备营收增速更是达到 95.1%,ASML 表示下游对于先进的光刻设备需求有增无减。 -15%-10%-5%0%5%10%15%20%25%30%0100020

42、003000400050006000201120122013201420152016201720182019202020212022Q1全球半导体销售规模(亿美金)yoy8.8%10.4%11.0%13.6%15.0%0%2%4%6%8%10% 12% 14% 16%SIA 2022.2WSTS 2022.3IC Insights 2022.1Gartner 2022.4SemiconductorIntelligence 2022.2更多投研资料 公众号:mtachn 2022 年 06 月 22 日 P.10 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2021Q2:晶圆制造设备龙头展

43、望:晶圆制造设备龙头展望 2022 年需求强劲,核心设备在手订单超过一年。年需求强劲,核心设备在手订单超过一年。ASML 单季度收入 40 亿欧元,新增 83 亿欧元订单(其中 EUV 为 49 亿欧元) 。单季度BB 值创 2017 年以来最高,累计在手订单 170 亿欧元,供货延期将持续到 2022H2。全年增速指引从 30%提升到 35%。Lam Research 单季度营收入、利润率均高于预期,公司预计 2022 年需求仍然很强劲本轮,资本密集度提升在半导体领域是全面的。KLA 订单也已经延续至 2022 年,部分产品交付期超过 12 个月。 2021Q3:全球各环节设备均供不应求,新

44、增订单仍然较多。:全球各环节设备均供不应求,新增订单仍然较多。全球光刻机龙头 ASML 本季度收入 52 亿欧元,新增订单 62 亿欧元,BB 值持续高于 1,且在手订单远超一年产值。前道工艺龙头 Lam Research 展望 2021H2 市场需求强于 2021H1,且 2022 年将延续增长。 2021Q4:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计 2022年年 WFE 增长约增长约 1020%。其中,ASML 在 2021Q4 新增订单 71 亿欧元,BB 值 2.0,累计订单充沛。2022Q1 收入仅为

45、 3335 亿欧元,预计有 20 亿欧元无法在 2022Q1 确认收入;预计 2022 年增长 20%。Lam Research 预计 2022Q1 同比大幅增长、环比下滑,主要受限于零部件和运输因素;预计 2022 年全球 WFE 增长至 1000 亿美元,增速18%。TEL 预计 2022Q1 营收同比增长 16%、环比增长 1%;KLAC 预计 2022Q1 营收22 亿美元,环比下降 9%,下降主要由于供应链限制,积压订单依然强劲。 图表 10:海外半导体设备龙头季度营收跟踪(亿美元) 图表 11:海外半导体设备龙头季度营收同比增速跟踪 资料来源:彭博,国盛证券研究所 资料来源:彭博,

46、国盛证券研究所 未来两年全球晶圆厂设备开支持续未来两年全球晶圆厂设备开支持续增长增长。2020 年疫情带来的居家及远程办公带来笔电等消费电子需求激增作为本轮周期的催化剂,2020H2 以车用芯片为代表的供应链开始紧张,下游持续增长的需求与上游有限产能的矛盾演绎为 2021 年全年行业供需失衡加剧。2022 年以来,消费性电子、智能手机、PC 等领域需求确有下滑,但更值得注意的是全球正步入第四轮硅含量提升周期,服务器、汽车、工业、物联网等需求大规模提升。 在 6 月台积电召开的股东大会上,公司管理层表示未来 10 年是半导体行业非常好的机会,主要原因就是 5G 及高效能运算的普及,生活数字化转型

47、,带来对车用(新车半导体含量可达传统车的 10 倍) 、手机、服务器等终端内半导体含量的增加,推动半导体需求大幅成长。中芯国际在 22Q1 法说会表示,尽管消费电子,手机等存量市场进入去库存阶段,开始软着陆,但高端物联网、电动车、绿色能源、工业等增量市场尚未建立足够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来的本土化产能缺口,使得公司需要大幅扩产,推出新产品工艺平台,满足客户旺盛的增量需求。我们认为疫情、全球经济及半导体周期性虽然会带来短期内的不确定性,但是技术进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。 050100150200250Q1 2019

48、Q3 2019 Q1 2020 Q3 2020 Q1 2021 Q3 2021 Q1 2022 KLAC TEL-Semiconductor Production Equipment LRCX AMAT-Semicondu Systems ASML-40%-20%0%20%40%60%80%100%120%Q12018Q32018Q12019Q32019Q12020Q32020Q12021Q32021Q12022ASMLAMAT-Semicondu SystemsLRCXTEL-Semiconductor Production EquipmentKLAC更多投研资料 公众号:mtachn 20

49、22 年 06 月 22 日 P.11 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 正因如此,2020 年开始全球领先的晶圆厂纷纷加速扩产提升资本开支,根据 IC Insights,2021年全球半导体资本开支增速达到 36%,预计 2022年将继续增长 24%,2020-2022 年将会成为自 1993-1995 年以来的首次 CapEx 连续三年增速超过 20%。半导体设备作为晶圆厂扩产的重要开支部分,根据 SEMI,2021 年全球晶圆厂前道设备支出增速达到 42%,预计 2022 年将进一步增长 18%。 图表 12:全球半导体资本开支(亿美金) 图表 13:全球晶圆厂前道设备支

50、出(亿美金) 资料来源:IC Insights,国盛证券研究所 资料来源:SEMI,国盛证券研究所 图表 14:全球半导体资本开支集中度持续提升 图表 15:2022 年资本开支增速较快的厂商(百万美金) 资料来源:IC Insights,国盛证券研究所 资料来源:IC Insights,国盛证券研究所 台积电、中芯国际纷纷增加资本开支台积电、中芯国际纷纷增加资本开支,CapEx 进入上行期。进入上行期。根据 IC Insights,全球代工厂资本开支约占半导体总体的 35%,根据头部代工厂的资本开支规划来看,2022 年代工领域资本开支将进一步提升。台积电从 2020 年 170 亿美金增长

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 研究报告 > 市场分析

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:文库网官方知乎号:文库网

经营许可证编号: 粤ICP备2021046453号世界地图

文库网官网©版权所有2025营业执照举报